This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

Is there possible I added interrupt vectors on the debugger for simulation?

Hello everyone.

I’m trying to add additional interrupt vectors on the 8051 CPU.

The black texts are original interrupt vectors.

The red texts are the additional interrupt vectors that I want to add.

On the hardware side (VHDL), I can add these interrupts on the state machine but on the software side (Keil),

I don't know how to add these interrupt vectors to the debugger for simulation.

I guess I have to edit or create the device database on Keil.

In the device database of the 8051 CPUs (Keil), I saw a MON variable and a SIM variable.

I've tried to open S8051.DLL, TP51.DLL, and DP51.DLL with a resource hacker program, but I didn't see what is associated with interrupt vectors.

 

Is there possible I added interrupt vectors on the debugger for simulation?