This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

path between fclk and tck

For the path between fclk and tck, how do I add SDC constraints? False path or max-delay?

Below are some of these pathes.