This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

Cortex M1 Xilinx MMI Generation Script

Hi,

I've used the ARM Design Start Xilinx pack to generate a Cortex M1 system within a Virtex Ultrascale plus

The make_mmi_file.tcl MMI generation script that comes with the Design Start Arty examples appears tailored for the 7000 series devices.

I changed the device and primative names within the make_mmi_file.tcl script to work with the Ultrascale plus and also I think there might be a typo in the tcl script that needs fixing to be able to generate the MMI file when specifying 16kB ITCM, the following line:

if { ($itcm_size_bytes <= (4*4096)) || ($itcm_size_bytes > (32*4096)) } {

should be changed to

if { ($itcm_size_bytes < (4*4096)) || ($itcm_size_bytes > (32*4096)) } {

in order to pass the if condition when 16kB is used.

If I use 16kB ITCM memory then the script can complete and generate an MMI file. However anything greater then 16kB and the script falls over, I think this is because the ITCM BRAMs end up being cascaded and the script can't handle it. (When using 16kB the BRAMs aren't cascaded, it's just a flat 4x BRAM each associated with a byte lane)

I guess I am asking if there is an updated or improved script ARM has available that can be used?