Arm Community
Arm Community
  • Site
  • User
  • Site
  • Search
  • User
Arm Community blogs
Arm Community blogs
Servers and Cloud Computing blog Integrated Modular Firmware Solutions: A Vital Component of Custom Silicon Chiplet Architecture Designs
  • Blogs
  • Mentions
  • Sub-Groups
  • Tags
  • Jump...
  • Cancel
More blogs in Arm Community blogs
  • AI blog

  • Announcements

  • Architectures and Processors blog

  • Automotive blog

  • Embedded and Microcontrollers blog

  • Internet of Things (IoT) blog

  • Laptops and Desktops blog

  • Mobile, Graphics, and Gaming blog

  • Operating Systems blog

  • Servers and Cloud Computing blog

  • SoC Design and Simulation blog

  • Tools, Software and IDEs blog

Tags
  • Chiplet
  • Arm Total Design
  • Server and Infrastructure
  • firmware
  • infrastructure
  • Neoverse
Actions
  • RSS
  • More
  • Cancel
Related blog posts
Related forum threads

Integrated Modular Firmware Solutions: A Vital Component of Custom Silicon Chiplet Architecture Designs

Marc Meunier
Marc Meunier
October 8, 2025
6 minute read time.

This blog is co-authored by Srini Narayana, VP, Boot Firmware Technology Group, AMI and Marc Meunier, Director Hardware Ecosystem, Infrastructure, Arm. 

The shift from monolithic SoC designs to chiplet-based architecture isn’t just a packaging innovation. It’s a fundamental rethinking of how custom silicon is designed, manufactured, and deployed. This transition is driven by the growing impracticality of scaling large monolithic dies at advanced nodes.

As die sizes increase, so do the costs, yield risks, and integration complexity. Chiplets offer a practical solution, breaking the system into smaller, functionally specialized components that can be manufactured on different process nodes and stitched together using high-speed interconnects.

But this modularity introduces a new class of system-level challenges, especially in terms of firmware. In traditional monolithic designs, firmware typically operates within a tightly coupled environment, with well-defined assumptions about hardware topology, boot order, and resource management. Chiplet-based systems disrupt those assumptions.

Now, firmware must manage a distributed set of silicon components, sometimes with each element designed by a different team, built on different nodes, with critical interface requirements, and then integrated together very late in the development cycle.

Firmware as the Integration Backbone

From a firmware perspective, chiplet architectures are not just modular building blocks — they must be dynamically orchestrated to achieve ultimate performance. Each chiplet may have its own power domain, boot sequence, security enclave, and thermal profile. Firmware must then be able to orchestrate these elements cohesively, ensuring that the system boots reliably, communicates securely, and operates efficiently across the heterogeneous system environment.

This is the point where integrated modular firmware platforms become indispensable. Rather than treating firmware as a monolithic afterthought, effective CSS-based chiplet system firmware must be able to incorporate:

  • Pre-validated UEFI stacks that support diverse Arm® server SoCs and chiplet configurations.
  • Support for foundational technologies like SCP, MCP, TF-A, and secure boot frameworks.
  • Plug-and-play IP modules that allow rapid adaptation to new chiplet combinations.
  • Compatibility with simulation and emulation environments enabling early virtual platform system validation before silicon is available (e.g., Cadence Palladium®, Synopsys ZeBu®).

Under this new paradigm, these capabilities aren’t just conveniences; they are necessities. Without them, firmware development becomes a bottleneck, risking project delays, redesigns, re-prototyping, and potential catastrophic integration failures.

Advanced Firmware Is Essential for Orchestrating High-performance Systems

As chiplet architectures become the norm for custom silicon in numerous applications starting with HPC, cloud, and edge domains – and soon likely spreading into telecom, automotive, industrial and even PCs - the role of firmware is shifting from the periphery of the design space into a very central function. No longer is it just about booting the system; firmware is now enabling the modular systems to be brought together in the first place. Firmware must abstract complexity, enforce security, and provide a stable foundation for innovation across the entire custom silicon design.

Accelerating Integration with Pre-Validated IP

One of the most practical advantages of modular firmware is its ability to absorb and integrate third-party IP from across the silicon ecosystem. Whether it's PCIe, CXL, memory controllers, or telemetry modules, these components often come from different vendors, each with its own quirks and requirements. In a chiplet-based system, firmware must act as the glue that binds these disparate elements into a coherent platform.

This is where early validation becomes critical. By “left-shifting” firmware testing into simulation and emulation environments - well before initial tape-out - teams can catch integration issues early, before they become expensive silicon bugs. Working closely with tool vendors, firmware teams can deliver pre-validated stacks that reduce the risk of post-silicon surprises and help avoid costly silicon respins.

This isn’t just about saving time and money, it’s also about enabling developers to move forward with confidence, knowing that the firmware foundation is solid even as the hardware continues to evolve.

Virtualization and First-Pass Success

Virtual platforms, especially fixed virtual platforms (FVPs), have become essential tools in firmware development for chiplet systems. These environments allow teams to emulate SoC behavior, validate firmware logic, and iterate on performance tuning without waiting for physical prototypes.

Integrated firmware stacks that support these platforms out of the box provide major advantages:

  • Bugs are caught earlier, when fixes are cheaper and easier.
  • Development cycles are faster and less dependent on external labs.
  • Teams can avoid the traditional “wait for silicon” bottleneck that typically stalls progress.

In most cases, this leads to first-pass success, where the initial silicon run boots and operates as expected.

Performance Optimization and Secure Management

As noted above, firmware isn’t just about booting the system anymore; it’s about managing it intelligently and proactively. In chiplet-based designs, where components may have independent power domains, trust zones, and interrupt schemes, firmware must provide a consistent abstraction layer that enables efficient communication and control. It must also incorporate predictive analytics to foresee potential system risks or failures and stop them before they become critical.

Optimized drivers and middleware ensure that surplus performance isn’t left on the table. Secure boot, telemetry, and power management are no longer optional, but now baseline requirements. Firmware must also enforce compliance with standards like ISO 26262 and IEC 61508, especially in safety-critical applications.

Remote management capabilities, such as OpenBMC  integration and firmware management systems (FMS), extend this control across the lifecycle of the platform. These cannot be after-thoughts as they are of a holistic firmware strategy that supports both development and deployment, and they keep systems running for years in the field.

Enabling Innovation Through Ecosystem Collaboration

Chiplet silicon development is inherently collaborative; no single team owns the entire stack. Therefore, firmware must be designed to work across boundaries, with silicon providers, cloud service providers (CSPs), ODMs, and IP vendors all contributing to the final design.

This ecosystem-centric approach enables:

  • Co-development of firmware alongside silicon design.
  • Early validation of system architecture with CSPs.
  • Iterative testing on reference boards and customer platforms.
  • Strategic support throughout the product lifecycle.

In this way, firmware becomes a shared language across the ecosystem, enabling faster innovation and closer alignment between hardware and software.

Business Impact: Time, Cost, and Risk

The technical benefits of modular firmware translate directly into measurable business outcomes:

  • Time-to-market improves through faster integration and earlier validation.
  • Cost savings emerge from shorter development cycles, better resource utilization, and reduced or eliminated silicon respins.
  • Risk is mitigated through pre-silicon testing and proven firmware components.
  • Scalability is built in, allowing support for future silicon revisions and product variants.
  • Reliability and support are backed by decades of firmware engineering and global infrastructure.

In short, smartly designed firmware isn’t just a technical enabler. It’s a critical strategic asset.

Looking Ahead: AI, Automation, and Beyond

Firmware development is entering a new phase, where automation and intelligence are starting to play a much larger role. AI-driven tools are being used to optimize performance, automate regression testing, and reduce cycle times.

These innovations are already delivering measurable savings, and they promise even greater agility for design teams working on the next generation of silicon processors. And as chiplet architecture continues to evolve, advanced firmware will remain central to their success.

In Conclusion, Chiplet-based silicon designs represent a major leap forward in custom silicon is designed, tested, built, and deployed at scale. But without a firmware foundation that’s equally modular, scalable, and intelligent, the benefits of chiplets can be lost in the integration complexity.

Integrating virtual designs with advanced firmware solutions provides the tools, validation capabilities, and ecosystem alignment needed to make chiplet systems possible. For developers working at the intersection of hardware and software, firmware is no longer a supporting element, it’s the system integrator, the performance enabler, and the security provider.

In simple terms, chiplets solve the silicon design scaling problem and firmware solves the integration problem. And with both working together, custom silicon just became a whole lot easier.

Arm and AMI at OCP Summit 2025

To learn more, please visit the Arm booth B11 at the 2025 OCP Global Summit , San Jose, CA, Oct 13-16 2025.

As a member of the Arm Total Design ecosystem and a leading Independent Firmware Vendor (IFV) with decades of experience, AMI is uniquely positioned to support Arm license partners through our AMI Developer Program for Arm Total Design. Learn more about the Program and get started on your chiplet design journey today by visiting https://www.ami.com/arm-solutions/.

All trademarks and registered trademarks in this publication are the property of their respective owners.

Anonymous
Servers and Cloud Computing blog
  • Integrated Modular Firmware Solutions: A Vital Component of Custom Silicon Chiplet Architecture Designs

    Marc Meunier
    Marc Meunier
    Firmware is now the backbone of chiplet-based silicon—enabling modular integration, early validation, and secure, efficient system orchestration.
    • October 8, 2025
  • Scaling GenAI Infrastructure with proteanTecs and Arm’s Neoverse CSS

    Marc Meunier
    Marc Meunier
    proteanTecs successful integration of monitoring into Arm Neoverse CSS brings customer-ready solutions with accelerated time-to-market.
    • October 2, 2025
  • Accelerate LLM Inference with ONNX Runtime on Arm Neoverse-powered Microsoft Cobalt 100

    Na Li
    Na Li
    In this blog, we take a closer look at how Microsoft Cobalt 100 processors and Arm’s ONNX Runtime optimizations deliver significant performance gains for running LLMs.
    • October 1, 2025