This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

Is there a way to disable specific warnings ?

I'm using uV 4.70.0.0, compiler version is 5.03.0.24.

Is there a way to disable specific warnings? In other Keil toolchains I seem to remember there was a command-line control that allowed this. I can't seem to find a similar control in this ( ARM ) compiler, rather all I can find in the manual is the -W which disables all warnings. Am I missing something ?

Thanks