This discussion has been locked.
You can no longer post new replies to this discussion. If you have a question you can start a new discussion

Fast model : Is there any way to overwrite PVBUS master id without using components which have id parameter (e.g. master_id, cluster_id )

Hello, 

I want to make a simple module, so called CPU_SEL.

It is a kind of bridge that it just overwrites master id according to its register value to set banked GICC registers of GIC_400.

However, I can't find how to overwrite PVBUS master id without using components which have id parameter.

Is it possible? If it is, then any idea?

Thank you

Parents
  • Yes, this can be done. You have probably seen the "Labeller" components in Fast Models Portfolio. That gives you the mechanism for replacing the ID in a transaction. However, what you will need to create is a programmable component - so you have to give it a Device interface, define a register, and use the value of that register to set the ID. You will also need to ensure that if the register is changed, the old setting is removed from the PVBus cache - to do this, you use a RemapDecisionGroup.

Reply
  • Yes, this can be done. You have probably seen the "Labeller" components in Fast Models Portfolio. That gives you the mechanism for replacing the ID in a transaction. However, what you will need to create is a programmable component - so you have to give it a Device interface, define a register, and use the value of that register to set the ID. You will also need to ensure that if the register is changed, the old setting is removed from the PVBus cache - to do this, you use a RemapDecisionGroup.

Children
No data